RUSmicro – Telegram
RUSmicro
5.6K subscribers
1.83K photos
24 videos
30 files
5.77K links
Новости микроэлектроники, электроники и вычислительной техники. Поддержка @abloud

Обсуждения публикаций доступны участникам закрытой группы ChipChat, вступить в нее можно по рекомендации кого-либо из участников группы или ведущего канал.
Download Telegram
🇷🇺 Компоненты. Лавинные фотодиоды. Россия

Оптрон масштабирует серийное производство отечественных лавинных фотодиодов

Об этом сообщает пресс-служба Росэл (Ростех). Сейчас эти устройства выпускают хотя серийно, но лишь малыми сериями.

Лавинные фотодиоды (ЛФД) — это специальные высокочувствительные датчики, которые преобразуют свет в электрический ток. В обычных фотодиодах он небольшой. В лавинных же фотоны света разгоняются электрическим полем и «выбивают» все новые и новые электроны. Таким образом получается цепная реакция наподобие лавины. В итоге из почти незаметного света получается внушительный электрический ток. Поэтому лавинные фотодиоды используют там, где нужно заметить очень слабое излучение — например, в оптической связи, лазерной дальнометрии, лидарах, оптических томографах и т.п.

Производителем ЛФД в контуре «Росэла» является компания «Оптрон». В линейке есть изделия двух видов — на основе кремния и арсенида галлия—индия. Кремниевые лавинные фотодиоды эффективны в видимом и ближнем инфракрасном диапазонах (400–1100 нм). Они применяются для контроля и калибровки лазеров, в медицинской диагностике (например, в оптической томографии и спектроскопии), а также в системах квантовой криптографии.

ЛФД на основе арсенида галлия—индия работают в ближнем и коротковолновом ИК-диапазонах (900–1700 нм). Это делает их ключевыми компонентами в волоконно-оптической связи, телекоммуникациях и дальнометрии. Они востребованы в спектральном анализе и системах дистанционного зондирования Земли, где необходима высокая чувствительность в ИК-области. Также изделия находят применение в квантовых компьютерах и системах распределения ключей.

«Оба диода выпускаются в корпусе с внешним диаметром 9 мм и фоточувствительной площадкой 500 мкм и 200 мкм. Наше предприятие расширяет номенклатуру производства ЛФД в корпусе с диаметром 5,6 мм и фоточувствительной площадкой 40 мкм. Данное решение уже нашло отклик на рынке. Также „Оптрон“ начал освоение производства бескорпусного исполнения ЛФД в виде микросборки с усилителем на промежуточном носителе, которое также востребовано рынком», — прокомментировали в холдинге «Росэл».


@RUSmicro
👍143👌2
🇷🇺 Производство электроники. Покупки активов. Россия

ДЦ Эдельвейс купил ноу-хау, инженерные образцы и права на ПО обанкротившегося предприятия Т-Платформы

Эдельвейс купил разработки ноутбуков, серверов, рабочих станций на процессорах Baikal и т.п. за смешные 1.1 млн рублей. Об этом сообщает CNews. Это безусловно заниженная цена, что побуждает строить различные небезосновательные гипотезы о возможной связи между покупателем и продавцом.

Скорее всего, Эдельвейс теперь продолжит заниматься тем, чем занимались Т-Платформы. Вопрос лишь в том, будет ли это делаться в интересах прежнего бенефициара или в чьих-то еще. Мне кажется вероятным первое из этих двух предположений, но доказывать это я не возьмусь, так что пусть это остается лишь теоретическими упражнениями в логике.

@RUSmicro
👍5👀5🤔2
🇺🇸 Техпроцессы. 18А. 16А. 14А. США

Intel анонсировала процессор Xeon Clearwater Forest по техпроцессу 18A

На выставке Hot Chips компания Intel представила процессор Xeon Clearwater Forest, запланированный к массовому производству в 2026 году. Этот процессор построен на техпроцессе 18А и использует усовершенствованную упаковку Foveros Direct 3D и PowerVia, технологию подачи питания с тыльной стороны кристалла (BSPDN).
В рамках PowerVia сквозные кремниевые переходные отверстия (nano-TSV) ведут в каждую стандартную ячейку, чтобы питание распространялось оптимальным образом. По заверениям Intel новая структура повышает эффективность использования стандартных ячеек на 5-10%.

Clearwater Forest станет одним из первых изделий, который будет построен на техпроцессе 18A, в основе которого лежат транзисторы RibbonFET с обратной подачей питания.

Узел 18A будет в основном использоваться для внутренних продуктов компании. В планах Intel есть еще разработка узла 14A в котором будет использоваться PowerDirect – метод подачи питания, основанный на PowerVia.

TSMC тем временем готовится развернуть свой техпроцесс A16, также включающий подачу питания с тыльной стороны, но со второй половины 2026 года. По данным TSMC, узел 16 объединяет нанолистовые транзисторы с подходом Super Power Rail (SPR), что повышает плотность логики и производительность за счет выделения ресурсов маршрутизации на передней стороне для сигналов.

По сравнению с техпроцессом TSMC N2P, A16 обеспечит повышение скорости на 8-10% при том же Vdd (положительном напряжении питания), снижение энергопотребления 15-20% при той же скорости и увеличение плотности кристалла вплоть до 1,10х.

После A16 компания TSMC также планирует внедрить технологию подачи питания с тыльной стороны в свой следующей усовершенствованный узел, A14. Производство этого узла намечено на 2028 год. Но в первой версии BSPDN не будет, ее внедрят в узлы уже с 2029 года.

@RUSmicro
👍9🤣2
🇲🇾 Чипы AI. Малайзия

Малайзия представила первый отечественный чип AI - MARS1000 по технологии 7нм

По данным Bloomberg, в понедельник малайзийская компания SkyeChip представила процессор ИИ MARS1000.

Это первый в стране чип по техпроцессу 7нм, разработанный для периферийных вычислений. Он может быть полезен для применения в автономной робототехнике, интеллектуальной видеоаналитике, умных городах, промышленной автоматизации и генеративном AI.

Также была представлена система Edge AI.

Малайзия известна прежде всего как крупный участник рынка корпусирования - на страну приходится около 10% этого рынка, а на электронику - порядка 40% экспорта.

Малайзия также становится центром развития ЦОД с использованием AI, привлекая крупные инвестиции от таких компаний, как Oracle и Microsoft. Economic Daily News отмечает, что Джохор является крупнейшей зоной инвестиций в ЦОД в Малайзии, занимая девятое место на рынке ЦОД для AI в Азиатско-Тихоокеанском регионе и привлекая международных игроков, включая австралийского гиганта AirTrunk.

Создание собственных чипов AI - вопрос стратегической важности для Малайзии, где опасаются, что "команда Т" может ограничить поставки чипов AI в Малайзию и в Таиланд, опасаясь, что эти страны не смогут избежать участи перевалочных пунктов для поставок полупроводников в страны, где США не хотели бы их видеть.

@RUSmicro
👍5
🇨🇳 Серверные процессоры. Китай

Утечка о 5нм серверном процессоре Huawei заставляет гадать, где он сделан

Первый серверный процессор Huawei, Kunpeng 920, был представлен в 2019 году. Он был основан на системе команд Arm и техпроцессе 7нм. С тех пор отрасль следила за слухами о его преемнике, появились первые подробности. По данным Wccftech, на которые ссылается TrendForce, новый серверный чип Huawei Kunpeng 930 показывает значительные преимущества по сравнению с предшественником.

Kunpeng 930 включает плотные вычислительные блоки, изготовленные предположительно на основе узла 5нм TSMC и большой кристалл ввода-вывода, изготовленным на SMIC по более зрелому процессу, предположительно, 14нм.

Но это предположительно. Другие источники уверены, что производитель может быть другим. Кроме того, непосредственно на SMIC уже освоен процесс N+3, который обеспечивает значительную плотность транзисторов – между N6 TSMC и 5нм Samsung, что примерно соответствует уровню 5.5нм TSMC.

Что касается корпуса, размеры составляют 77.5 мм х 58.0 мм, сравнительно много. Это объясняется тем, что чиплет Huawei объединяет 4 вычислительных кристалла с одним большим кристаллом I/O. Кристалл ввода-вывода в этой модели примерно на 81.26% больше, чем у Kunpeng 920.

Kunpeng 930 содержит 120 ядер. Каждый кристалл оснащен кэшем 2-го уровня 2 МБ и 91МБ кэш-памяти 3-го уровня. Чип основан на архитектуре Huawei Mount TaiShan на базе ARM, оснащен 96 линиями PCIe, поддерживает 16-канальную память DDR5. Плата – двухсокетная, что позволяет создавать конфигурации с двумя процессорами.

@RUSmicro
👍7🤔21
🇷🇺 Производство электроники. Телекамеры высокой чувствительности. Россия

ЦНИИ Электрон наладил выпуск высокочувствительных телекамер УТК-1

В УТК-1 стоит высокочувствительная матрица китайской компании Gpixel GSENSE400BSI с технологией обратной засветки и крупными пикселями. При такой схеме свет проходит не через электроды, а через подложку, поэтому на сенсор попадает больше света. Большие пиксели тоже собирают больше света. В итоге матрица показывает лучшую чувствительность, высокое разрешение и минимальный шум.

Матрица с разрешением до 1.1 мкм (в ближнем ИК) размещена в едином металлокерамическом корпусе с входным окном и термоэлектрическим охладителем на элементах Пелетье. В приборе создана герметичная среда, которая заполнена ксеноном. Такое решение позволяет охлаждать сенсор с перепадом 40°С от температуры теплоотвода. Камера способна работать в условиях освещенности менее 0.001 люкс.

Подобные камеры применяют в микроскопии, астрофотографии, биолюминесценции и хемилюминесценции, дефектоскопии.

ЦНИИ Электрон (Росэл - Ростех) разработало линейку универсальных ТВ-камер, работающих в различных диапазонах электромагнитного спектра — от ультрафиолетового до ближнего коротковолнового инфракрасного.

@RUSmicro, фото - пресс-службы Ростех
👍18👏32🤔1
🇷🇺 Участники рынка. Россия

ИНТЦ в Великом Новгороде планирует создать производство «полного цикла» по выпуску микросхем с нормами 800 нм и 600 нм

Под «полным циклом» подразумевается процесс от разработки изделия до упаковки и корпусирования. Об этом узнали и рассказали в CNews.

Для начала планируется создать и оснастить необходимой инженерной инфраструктурой и технологическим оборудованием Научно-исследовательский центр полупроводникового материаловедения, ИНТЦ (НТЦ Интеллектуальная электроника – Валдай).

На старте собираются освоить технологический процесс с нормами 1,5–1 мкм совместно с производителем микроэлектроники ОКБ Планета.

В состав Центра также войдет дизайн-центр микроэлектроники, который будет выполнять задачи по разработке топологий микросхем, сборки, корпусированию и упаковки разработанных изделий. Выпускать собираются микросхемы и микросборки.
Научным направлением Центра является исследование и разработка высокопроизводительных гетероструктур для современной электронной промышленности на основе полупроводниковых материалов групп АIIIBV и AIIBIV (например, кремний-германиевые нано-структуры).

В качестве потребителей продукции в ИНТЦ видят: научно-исследовательскую лабораторию «Цифровой обработки сигналов»; передовую инженерную школу НовГУ; резидентов ИНТЦ; региональные предприятия; внешние заказчики.

Проект интересен тем, что планируется организовать полный цикл производства: от обработки подложек до упаковки и корпусирования. Технормы, по которым собирается производить чипы ИНТЦ остаются востребованными в военной и космической сферах, в области силовой и автомобильной электроники, в аналоговой схемотехнике, в МЭМС-устройствах. — Соответствующие продукты востребованы там, где в приоритете отечественность и надежность.

Если говорить об инвестициях, то их объем зависит от масштабов, но, в любом случае, это миллиарды рублей. Значительные инвестиции требуются для создания "чистых комнат", а также для закупки большого количества высокотехнологичного оборудования - установок фотолитографии, травления, напыления, легирования, тестирования. Нужны системы очистки воды, подачи газов и т.п. Сократить необходимые инвестиции можно будет за счет опоры на существующее производство ОКБ Планета.

Если говорить о потенциальных проблемах, то среди них стоит отметить, например, кадровый голод в части квалифицированных специалистов, прежде всего, технологов. Проект может затягиваться по срокам, требовать дополнительных инвестиций относительно планового уровня. Ключевая проблема - закупка оборудования. Если будет закупаться зарубежное оборудование, то встанут вопросы запчастей и сервисного обслуживания. Но и закупка материалов - не самое простое дело в текущий исторический период. Но под техпроцессы 1,5–0.5 мкм оборудование, вполне вероятно, можно будет приобрести в Беларуси, у Планара.

@RUSmicro
👍15🤣42🙈2🤔1
🇷🇺 Кадры. Партнерства. Россия

В рамках кадровой программы Микрон подписал соглашения с СибГУТИ, Элроном, Электро-директ и Фондом Технопарк Академгородка

Соглашения подписаны на площадке XII Международного форума технологического развития Технопром-2025 в Новосибирске.

Трехстороннее соглашение Микрона (Элемент, Технополис-Москва), СибГУТИ и Элрона включает подготовку IT-специалистов и кадров для радиоэлектронной отрасти на базе СибГУТИ, создание и внедрение совместных программ обучения, образовательных и исследовательских проектов, реализацию программ по привлечению к разработке отечественных решений новосибирских ИТ-специалистов и трудоустройство профильных кадров, высвободившихся вследствие ухода с рынка зарубежных компаний.

Соглашение включает проведение совместных исследований, экспериментальных разработок, экспертных, аналитических работ, а также распространение сведений о разработке и производстве электроники в российском обществе.

Соглашение Микрона и Фонда «Технопарк Академгородка» нацелено на поддержку технологического предпринимательства, поиск перспективных решений и реализацию проектов и мероприятий в сфере информационных технологий.

@RUSmicro
👍81👏1
🇺🇸 🇰🇷 🇨🇳 Экспортный контроль. США. Корея. Китай

США затрудняют производство чипов в Китае для SK Hynix и Samsung

В США начали отзывать разрешения, которые позволяли Samsung и SK Hynix, закупать американское оборудование для производства полупроводников. Об этом сообщает Reuters.

Теперь компаниям придется получать лицензии на закупку оборудования для производств в Китае. На всякий случай отозвали аналогичное разрешение и у Intel, хотя особого смысла это не имеет, Intel уже избавилась от своего производства в Даляне (Дальнем) в рамках сделки с SK Hynix, которая была завершена в 2025 году.

Изменение условий лицензирования, вероятно, нацелено на сокращение продаж в Китай продукции американских производителей оборудования – KLA Corp, Lam Research и Applied Materials. Акции этих компаний снизились на 1.9-3.7%.

Сейчас между США и Китай действует «тарифное перемирие», при котором на китайский импорт в США налагаются пошлины 30%, а на американские товары – 10%.

В последние месяцы тысячи заявок американских компаний на экспорт товаров и технологий в Китай также оказались в подвешенном состоянии, что привело к задержкам заявок на оборудование для производства полупроводников на миллиарды долларов.

У Samsung и Hynix были статусы «проверенного конечного пользователя», что позволяло им закупать производственное оборудование без экспортной лицензии. Этот статус будет отменен.

В выигрыше – китайские производители оборудования, чьи инструменты могут заполнить пробелы. Впрочем и американский Micron может выиграть от возможных проблем Samsung и SK Hynix с выпуском чипов памяти.

@RUSmicro
🤔1
🇺🇸 Участники рынка. Производители заказных чипов. США

Акции Marvell падают на фоне слабых перспектив в сфере ЦОД

Акции Marvell Technology сегодня упали почти на 18%, поскольку прогнозы этого производителя чипов для серверов ЦОД не оправдали ожиданий рынка. Об этом сообщает Reuters.

Проблема связана с нерегулярностью спроса на заказные ИИ-чипы со стороны облачных гигантов, прежде всего, Amazon и Microsoft. К тому же эти компании разрабатывают собственные решения для снижения зависимости от Nvidia.

С другой стороны, Microsoft отложила выпуск собственных чипов для AI до 2028 года или позднее.

Marvell конкурирует с Broadcom, имеющей более устойчивые рыночные позиции в теме поставок заказных чипов и сетевых решений для гиперскейлеров. На долю Marvell достается только часть заказов, в основном в ситуациях, когда клиенты придерживаются стратегии закупок у нескольких поставщиков.

@RUSmicro
👍1
🇷🇺 Научные разработки. Терагерцовые импульсы. Россия

В России показали генерацию терагерцовых импульсов с энергией до 5 пДж

Коллектив российских ученых из МФТИ, ИОФ РАН и ФИАН впервые в мире экспериментально продемонстрировал генерацию терагерцового излучения (Т-лучей) с рекордной энергией до 5.5 пДж с помощью вакуумного фотодиода, облучаемого фемтосекундными лазерными импульсами. В основе метода лежит фотоэмиссия электронов из катода с последующим их ускорением в сильном электрическом поле, что приводит к генерации сверхширокополосных электромагнитных импульсов. Об этом пишет Habr со ссылкой на статью в Frontiers of Optoelectronics.

Главное преимущество метода — использование электростатической энергии, запасенной в вакуумном промежутке, что потенциально позволяет превзойти по энергетике традиционные фотопроводящие антенны. Это открывает перспективы для создания мощных и компактных источников Т-излучения для неинвазивной томографии, безопасности, радиолокации и силового воздействия на электронику. Кроме того, фотодиоды отличаются низкой стоимостью, что позволяет рассчитывать на перспективы их широкого применения.

Исследование включало разработку полуаналитической модели и проведение численного моделирования в COMSOL Multiphysics, результаты которых хорошо согласуются с экспериментальными данными. Были изучены ключевые зависимости энергии излучения от угла падения лазера, диаметра пучка, приложенного напряжения и плотности заряда. Установлено, что более 80% энергии излучения распространяется в узком телесном углу (<20°), а поляризация является линейной.

Не буду лишать вас удовольствия насладиться деталями разработки, включая схемы и графики.

@RUSmicro
👍133🔥2🤔1
🇨🇳 Чипы AI. Производители. Китай

Cambricon сообщил о рекордной прибыли за счет реализации чипов ИИ

Рост прибыли обеспечил резкий рост спроса со стороны таких компаний, как ByteDance, на полупроводники отечественного производства в попытках замены чипов Nvidia. Прибыль за 1H2025 составила $140 млн, выручка компании составила 2.9 млрд юаней, более $400 млн, что в 44 раза больше, чем годом ранее.

Пока что Cambricon это совсем небольшой игрок по сравнению с Huawei, но она уже считается главным конкурентом, хотя на ее долю приходится лишь около 3% рынка AI-чипов.

Компания намерена привлечь несколько миллиардов долларов за счет дополнительного размещения акций – средства нужны для дополнительных инвестиций в AI-чипы и ПО для обучения LLM.

Итак, что мы наблюдаем?

Жесткая госполитика Китая по части импортзамещения чипов AI давит на техногигантов, стимулируя их переход на использование отечественных AI-чипов, что создает спрос на продукцию Cambricon и Huawei.

Экспортная политика США, запрещающая экспорт высокопроизводительных чипов Nvidia в Китай (и история с необходимостью отчислять 15% от выручки с продаж H20 американскому правительству) создают вакуум на рынке Китая, который должны и могут заполнить местные игроки.

Успешные китайские AI-модели, прежде всего, DeepSeek понемногу переезжают на отечественные чипы. Учитывая, что Cambricon делает не только свои чипы, но также развивает программную экосистему, это позволяет надеяться на возможность миграции с решений Nvidia CUDA.

Риски для Cambricon – зависимость от протекционистских решений правительства Китая (зависимость от изменений политического курса), проблемы с доступом к EUV-литографии, суровый соперник в лице Huawei. И, конечно, технологические проблемы. Чипы Cambricon пока что уступают даже Nvidia H20, получится ли у компании догнать американских разработчиков чипов AI?

@RUSmicro
👍72
🇺🇸 🇰🇷 Производственные мощности. 2нм, 3нм. США. Корея

Samsung, похоже, возобновил стройку в Тейлоре

В октябре 2024 года Samsung остановил закупки оборудования для своего фаба, неспешно сооружаемого в Тейлоре, Техас, США. Компания поясняла, что не нашла заказчиков на контрактные услуги данного предприятия. После заключения сделки с Tesla на $17 млрд, Samsung, похоже, возобновляет инвестиции в свою масштабную стройку в США. Об этом пишет TrendForce.

Уже в этом месяце начнется первый этап развертывания оборудования, а второй – в ноябре 2025 года.

По данным ZDNet, компания наращивает темпы внедрения своего техпроцесса 2нм второго поколения (SF2P). Этот процесс планируется довести до готовности уже в этом году, что откроет путь к полномасштабному его использованию в 2026 году.

Пока что фаб Samsung в Тейлоре располагает одним зданием с одной чистой комнатой. Именно здесь на первом этапе расположится линия 2нм. Как ожидается, к концу 2026 года ежемесячный объем производства достигнет 16000 – 17000 пластин 300 мм.

Стабилизация 3нм обычно занимает 10 месяцев, а для 2нм процесса потребуется порядка 11 месяцев. Так что говорить о полномасштабном производстве на заводе Samsung в Тейлоре можно будет ближе к концу 2026 года или в начале 2027 года. В этот период может начаться массовый выпуск ИИ-чипов Tesla.

Ранее SEDaily сообщал, что инвестиции Samsung в Taylor могут превысить $50 млрд, поскольку кроме фаба, скорее всего, придется заняться развертыванием еще и предприятия по упаковке и корпусированию – стоимость этого проекта оценивают в $7.7 млрд.

@RUSmicro
👍5
🇯🇵 Техпроцессы. 2нм. Япония

Техпроцесс Rapidus 2HP вероятно превосходит Intel 18A по плотности логики и конкурируют с TSMC

Как сообщает Trendforce со ссылкой на Wccftech, Rapidus готовит свой передовой узел 2нм под названием 2HP, который, как ожидается, обеспечит плотность логики на уровне TSMC N2 и, что более важно, заметно превзойдет Intel 18A.

В частности, сообщается, что Rapidus 2HP обеспечивает плотность размещения логических элементов на уровне 237.31 млн транзисторов на кв.мм, что практически соответствует показателю N2 TSMC в 236.17 МТр/мм². Оба узла используют библиотеки ячеек высокой плотности (HD) — с высотой ячейки 138 единиц и шагом G45 — конфигурации, разработанные для максимальной плотности логики, что предполагает сопоставимое количество транзисторов.

Что касается Intel, то её узел 18A, несмотря на меньший размер, имеет плотность 184,21 МТр/мм², что является сравнительно низкой плотностью. Эксперты связывают это с использованием BSPDN (подачей питания с тыльной стороны пластины), поскольку эта технология занимает часть металлических слоев лицевой стороны. В Intel могут ориентироваться на достижение более высокой производительности на ватт, чем плотности, тем более что пока что 18A позиционируется для внутреннего использования.

Выпуск PDK Rapidus по 2нм запланирован на 1q2026, массовое производство – в 2027 году.

По данным TechPowerUp, Rapidus успешно изготовила тестовый чип GAA по технологии 2нм. Чип был изготовлен с использованием оборудования EUV от ASML и соответствует всем первоначальным требованиям. Фабрика IIM-1 в Японии, как ожидается, будет производить около 25 000 пластин в месяц.

Несмотря на эти успехи, к 2027 году Rapidus, вероятно, будет отставать от TSMC на 1-2 поколения узлов, а возможно, даже от Intel. Для того, чтобы выделиться на фоне конкурентов, японская компания делает ставку на скорость производства, заявляя сроки выполнения всего в 50 дней по сравнению со типовыми 120 днями для партии, состоящей из одной пластины.

@RUSmicro
👍931
🇨🇳 Производственные мощности. Китай

Китай утроит объемы производства чипов AI в 2026 году, что снизит зависимость от Nvidia

По данным Financial Times, Китай намерен утроить объемы производства AI чипов в 2025 году. В частности, одна фабрика, предназначенная для производства AI-чипов Huawei, должна начать производство еще до конца 2025 года, еще два фаба, как ожидается, начнут давать продукцию в 2026 году. Все три предприятия, как ожидается, будут поставлять продукцию Huawei, но их владельцы неизвестно, а Huawei отрицает, что у нее есть планы по созданию собственных производств. Об этом пишет TrendForce.

После выхода этих производств на полную мощность, их совокупная производительность может превзойти текущую производительность SMIC.

Между тем, SMIC тоже не почивает на лаврах крупнейшего производителя в Китае, планируя удвоить производственные мощности 7нм в 2025 году. Huawei является крупнейшим заказчиком у SMIC этой продукции. Расширение производства SMIC позволит более мелким китайским производителям AI-чипов, как Cambricon, MetaX и Biren занять большую долю мощностей, что усилит конкуренцию на быстрорастущем рынке Китая.

Чипы Huawei 910D и Cambricon 690 – ведущие продукты, но конкуренты пытаются разработать собственные успешные версии, способные с ними потягаться. И все же, по данным Financial Times, несмотря на то что в DeepSeek провели испытания возможностей китайских чипов AI для проверки технической осуществимости, текущее обучение моделей по-прежнему основывается на кластерах Nvidia.

@RUSmicro
5👍3
🇺🇸 Участники рынка. Производственное оборудование. США

Applied Materials отмечает ограниченность американской господдержк и предлагает взвешенный взгляд на ситуацию в Китае

В частности, финансовый директор AMAT заявил, что спрос на продукцию компании обусловлен прежде всего спросом на ПК, серверы ЦОД, смартфоны, а не инициативами правительства.

Тем не менее, как сообщает TrendForce со ссылкой на Wcctech, Applied Materials планирует вложить около $200 млн в свое производство в Аризоне, что дополнит уже инвестированные в США для расширения производственных мощностей более чем $400 млн.

Также, по его словам, рост Applied в 2026 году будет обусловлен развитием технологий AI, что будет стимулировать спрос на микросхемы памяти и передовую логику, а также спрос на современные корпуса.

Инвестиции компании в 2023-2024 годах позволили создать достаточный объем мощностей. Но хотя компания продолжает привлекать клиентов, в ближайшие несколько кварталов она не ожидает заметного роста.

Кроме того, Applied Materials столкнулась с невозможностью выполнить заказы китайских клиентов на сумму $400 млн, из-за расширения «черного списка» США.

В последние годы расширение полупроводникового производства в Китае было сосредоточено на зрелых технологических процессах – 40нм, 45нм и 60нм, но ожидается, что в ближайшие несколько лет значительная часть роста будет перенесена на 28нм.

Applied Materials, располагая линейкой производственного оборудования для 28нм, ожидает, что многие клиенты будут обращаться за этим оборудованием, что может позитивно сказаться на росте спроса на продукцию компании. И уже обращаются. Несмотря на американские ограничения на экспорт в Китай производственного оборудования, доля выручки с рынка Китая выросла до 35% в 3q2025 (завершился в июле) с 25% в предыдущем квартале, что означает заметную зависимость этой американской компании от китайского рынка. Это создает для нее серьезные риски - дальнейшее ужесточение санкций или эскалация торговой войны могут мгновенно заблокировать этот крупнейший рынок, что болезненно ударит по выручке компании.

В связи с тем, что основные клиенты – TSMC и Intel, планируют начать массовое производство чипов 2нм к концу 2025 года, в компании прогнозируют начало выпуска порядка 300 тысяч пластин в месяц с узлами GAA, что превысит показатели предыдущих кварталов. Более сложные технологии требуют больше оборудования для напыления, травления и метрологии, что обещает хорошие перспективы для AMAT. По словам финдиректора компании, в 2024 году Applied Materials продала оборудование GAA на $2.5 млрд и прогнозирует объем продаж в 2025 году в $4.5 млрд.

Несмотря на негативный прогноз, компания демонстрирует рекордную выручку ($7.3 млрд в 3кв.2025, +8% г/г) и высокую рентабельность (не-GAAP операционная маржа 30.7%). У нее здоровый денежный поток, что позволяет выплачивать дивиденды.

@RUSmicro
3
🇩🇪 🇹🇼 Сотрудничество. Германия. Тайвань

TSMC и Мюнхенский технический университет договорились о сотрудничестве

Впервые об этом стало известно в мае 2025 года, теперь информация подтверждается, об этом пишут различные источники.

Правительство Баварии объявило о сотрудничестве с TSMC в создании центра исследований и разработок в области AI-чипов в Мюнхенском техническом университете (TUM).

Мюнхенский центр передовых технологий для высокотехнологичных AI-чипов (MACHT-AI), возглавит профессор TUM Хуссам Амрух. Как ожидается, это позволит укрепить европейский потенциал в области разработки микросхем.

Финансирование центра обеспечит Министерство науки и Министерство экономики Баварии, планируется бюджет около 4.5 млн евро.

TSMC будет оказывать этому центру техническую поддержку, уделяя особое внимание разработке высокопроизводительных, настраиваемых микросхем AI, а также обучать студентов и исследователей производственным процессам, таким как FinFET.
Начало работы центра запланировано на 3q2025.

Зачем это TSMC?

Скорее всего, речь идет о нехватке квалифицированных специалистов в области проектирования и необходимости оказывать клиентам непосредственную поддержку для повышения эффективности строящегося в Дрездене завода ESMC по производству пластин. Ожидается, что после выхода на полную мощность, это предприятие будет производить 40 тысяч пластин 300 мм в месяц по техпроцессам 28/22 нм по планарным CMOS технологиям и 16/12 нм FinFET техпроцессам TSMC. Чипы предназначаются для автопрома.

@RUSmicro
🙈32
🇨🇳 Чипы AI. Китай

Alibaba представила AI-чип - альтернативу Nvidia H20

По данным источников, на которые ссылается TrendForce, Alibaba представила новый чип для AI, более универсальный, чем его предшественники, стремясь заполнить пробел, образовавшийся после того, как Nvidia столкнулась с нормативными препятствиями при поставках в Китай. Чип пока что проходит тестирование. Ключевая особенность – он произведен не TSMC, а китайским производителем. Как ожидается, основным потребителем этих чипов станет тайваньский производитель ИИ-серверов – компания Inventec.

Inventec поставляет сервера AI четырем крупнейшим поставщикам облачных сервисов: Baidu, Alibaba, Tencent и ByteDance. В первом полугодии у Inventec покупали, в основном стойки (с услугами сборки и тестирования), а во втором полугодии будут преобладать материнские платы.

Alibaba активно развивает облачные сервисы – в 1q2025 компания получила от них выручку на 26% больше чем годом ранее и на 18% больше, чем кварталом ранее.

Китайские производители быстро наращивают предложения в области AI чипов. В частности, шанхайская MetaX в июле представила свой чип, позиционируемый как альтернатива H20. У него больше памяти, чем у H20, но он потребляет больше энергии, чем американский. Чип пока что не вышел в массовое производство.
Другой участник рынка – Cambricon Technologies показал выручку в размере $247 млн за счет высокого спроса на свой AI-чип Siyuan 590.
Можно вспомнить также Biren Technology, Hygon, MooreThreads и Sophgo - все эти компании демонстрируют, что китайская индустрия AI-чипов будет развиваться активно и без доступа к американским чипам. Ключевым остается доступ к производственным возможностям TSMC, но и в этом плане китайские компании постараются найти альтернативу.

@RUSmicro
3👍3
🇰🇷 🇳🇱 Фотолитографы. Производственные мощности. HI-NA. Корея. Нидерланды

Компании ASML и SK hynix собирают High-NA EUV на фабрике в Южной Корее

Компании SK hynix и ASML объявили о сборке системы EUV с высокой числовой апертурой Twinscan NXE:5200B на фабрике M16 в Ичхоне, Южная Корея. Изначально устройство будет служить в качестве платформы для разработки технологических процессов нового поколения, но в конечном итоге, через несколько лет, оно будет использоваться для массового производства памяти DRAM с использованием передовых технологических процессов. Об этом сообщает Tom's hardware.

Для SK hynix сборка одной из первых в отрасли систем EUV Twinscan NXE:5200B с оптикой с числовой апертурой 0,55 означает значительное опережение своих главных конкурентов Micron и Samsung, а также подавляющего большинства компаний в полупроводниковой отрасли, многие из которых еще не перешли даже на EUV с числовой апертурой 0,33. (Не понимаю, почему говорится о существенном опережении Samsung, которая еще в марте 2025 года привезла в Хвасонг своей первый High-NA EUV ASML EXE:5200. Это тоже модель с апертурой 0.55, которая дает 8нм на 1 экспозицию. Да, 5200 – это «предсерийная» модель, а 5200B у SK Hynix это «бизнес», то есть модель для использования в условиях массового производства. Она оптимизирована под высокую пропускную способность – до 175 пластин 300 мм в час. Возможно, именно это подразумевается под «существенным опережением»).

Twinscan EXE:5200B от ASML с объективом с числовой апертурой 0,55 обеспечивает разрешение 8 нм — тогда как ранее развернутые системы Low-NA EUV – не способны обеспечивать разрешение лучше 13 нм. То есть новые фотолитографы обещают возможность уменьшения размеров транзисторов в 1,7 раза и наращивание плотности их размещения на кристалле в 2,9 раз, причем за одну экспозицию. Инструменты EUV предыдущего поколения позволяют добиться этого с помощью дорогостоящего многошаблонного подхода.

NXE:5200B первоначально будет использоваться для ускоренного прототипирования DRAM следующего поколения, которые будут производиться с использованием технологических процессов, разработанных под установки EUV и DUV с низкой числовой апертурой (Low-NA EUV и DUV). В дальнейшем установку планируют использовать для разработки технологических процессов, которые будут опираться на специфические возможности Hi-NA EUV для обеспечения необходимого выхода годных и сокращения времени цикла. В одной из презентаций для инвесторов компания ASML оценила, что производители DRAM перейдут на установки EUV с высокой числовой апертурой (Hi-NA EUV) в 2030-х годах, так что SK Hynix явно старается «застолбить» свое технологическое первенство.

Быстрое прототипирование должно значительно ускорить разработку технологических процессов следующего поколения. Установка EUV с высокой числовой апертурой (Hi-NA EUV) позволяет создавать детальные прототипы структур DRAM (например, канавки конденсаторов, битовые линии, словарные линии) быстрее, чем это возможно на существующих установках EUV с низкой числовой апертурой (Low-NA EUV), что даёт существенный импульс НИОКР работам, проводимым SK hynix.

К 2030-м годам SK hynix, вероятно, сможет использовать этот инструмент для тестирования шаблонов, разработки новых топологий и оценки новых материалов, необходимых для производственных узлов, требующих использования инструментов для EUV-печати с высокой числовой апертурой, задолго до перехода на полномасштабное производство с использованием EUV-печати с высокой числовой апертурой.

Сборка первого литографа Twinscan NXE:5200B от ASML на площадке клиента (в данном случае на площадке M16 компании SK hynix знаменует собой важную веху для компании. Ранее SK hynix использовала оборудование Twinscan NXE:5000 на фабрике Intel D1X недалеко от Хиллсборо, штат Орегон, где уже были произведены десятки тысяч пластин. Системы NXE:5000 считаются предсерийными, но их можно модернизировать для повышения производительности, необходимой для крупносерийного производства.

@RUSmicro
👍6
🇨🇳 Память для AI. HBM. Партнерства. Китай

YMTC и CXMT могут объединить усилия ради ускорения разработки HBM памяти

Консолидация бизнесов или технологические партнерства – путь к усилению технологических возможностей, к ускорению разработки и вывода продуктов на рынок. Китайская Yangtze Memory Technologies Corp. (YMTC) готовится к выходу на рынок DRAM и изучает возможности партнёрства с ChangXin Memory Technologies (CXMT) для производства высокоскоростной памяти (HBM) — премиальной DRAM, востребованной в производстве ускорителей AI. Об этом сообщает Tom’s hardware.

Если возникнет соответствующее партнерство, то ведущий китайский производитель NAND-памяти объединит компетенции с ведущим поставщиком DRAM в то время, когда HBM является самым востребованным компонентом в центрах обработки данных, а китайское правительство стремится снизить зависимость от трёх крупнейших зарубежных производителей HBM памяти: Samsung, SK hynix и Micron.

Для Китая ситуация с HBM является тревожной. В нормативных актах Бюро промышленности и безопасности США от декабря 2024 года ужесточаются меры контроля над HBM, что усложняет доступ Китая к технологиям, используемым для вычислений с использованием искусственного интеллекта. Этот политический фон может стимулировать сотрудничество YMTC и CXMT.

CXMT успешно занимается темой DRAM, от компании ожидают, что она может занять до 7-9% мирового рынка памяти DDR5 к концу 2025 года, демонстрируя быстрый рост.

CXMT не первый год постепенно продвигается в теме HBM. Согласно отчётности за 2024 год, компания выпустила HBM2 и работает над HBM3. Многочисленные китайские издания и исследователи указывают на вероятность выхода в серийное производство китайских чипов HBM3 и HBM3E в 2026–2027 годах. Это означает все еще ощутимое отставание от корейских лидеров рынка, но китайские разработчики двигаются быстрее.

Зачем CXMT партнерство с YMTC?

Ценность YMTC в этом потенциальном партнёрстве заключается не столько в опыте в области DRAM, сколько в экспертных знаниях. Архитектура Xtacking, представляющая собой процесс соединения пластины с пластиной – это передовая реализация гибридного соединения, компания уже много лет использует его для массового производства 3D NAND. Этот опыт крайне актуален в то время, когда вся индустрия HBM неуклонно переходит на гибридное соединение для повышения пропускной способности и улучшения тепловых характеристик по мере увеличения высоты стека. Впрочем, нельзя не отметить, что переход от гибридного соединения для NAND к его применению для HBM — это нетривиальная инженерная задача, так как технологии производства DRAM и NAND существенно различаются.

В Китае постепенно создается собственная индустрия современной упаковки кристаллов. Агентство Reuters сообщило в 2024 году, что китайские компании, включая CXMT и Wuhan Xinxin, разрабатывают методы упаковки HBM, а Tongfu Microelectronics уже освоила их. Поскольку передовая упаковка становится ключом к производству HBM, аутсорсинг упаковки, корпусирования и тестирования полупроводников практически неизбежен, если фабрики хотят масштабировать производство.

Китайцев стимулирует к активности в этой теме продолжающееся ужесточение экспортного контроля США, который сейчас, по сути, перекрывает поставки оборудования для расположенных в Китае фабрик. Стимулы есть, но состоится ли партнерство YMTC-CXMT и насколько оно окажется успешным, пока что не очевидно. Успех партнерства YMTC и CXMT будет сильно зависеть от того, смогут ли китайские компании создать надежные и масштабируемые мощности по упаковке, что является нетривиальной задачей под давлением санкций.

@RUSmicro
👍41👏1
🇹🇼 🇺🇸 🇨🇳 Регулирование. Экспортный контроль. Участники рынка. Тайвань. США. Китай

Правительство США аннулировало разрешение TSMC на закупку американского оборудования для заводов компании в Китае — специальная экспортная лицензия будет отозвана к концу 2025 года

В США решили аннулировать специальное разрешение, ранее выданное TSMC на экспорт передового оборудования для производства микросхем из США на завод Fab 16 компании в Нанкине, Китай. Теперь TSMC придется всякий раз получать индивидуальные разрешения от правительства США на будущие поставки. Если разрешения не будут выданы вовремя, это может повлиять на работу завода. Об этом пишет Tom’s hardware.

До сих пор TSMC пользовалась системой общих разрешений, основанной на статусе проверенного конечного пользователя (VEU) в правительстве США, которая позволяла осуществлять регулярные поставки производственного оборудования таких компаний, как американские Applied Materials, KLA и LAM Research. После вступления изменений в силу любое оборудование, запчасти или химикаты, отправляемые на объект, должны будут пройти в США проверку целесообразности, которая будет проводиться с презумпцией отказа.

«TSMC получила уведомление от правительства США о том, что наше разрешение VEU для TSMC Nanjing будет отозвано с 31 декабря 2025 года», — говорится в заявлении TSMC, направленном в Tom's Hardware. «Пока мы оцениваем ситуацию и принимаем соответствующие меры, включая взаимодействие с правительством США, мы по-прежнему полностью привержены обеспечению бесперебойной работы TSMC Nanjing».


TSMC управляет двумя заводами в Китае: 200-мм заводом Fab 10 в Шанхае и 300-мм заводом Fab 16 в Нанкине. Фабрика с 200-мм процессом производит микросхемы по устаревшим технологиям (например, 150 нм и менее) и остаётся вне поля зрения правительства США. Но не 300-мм производственный комплекс, где выпускают автомобильные чипы, радиочастотные компоненты 5G, SoC и т. д. на 12-нм, 16-нм FinFET и 28-нм производственных узлах TSMC, а поставка в Китай технологий с нормами 16 нм и ниже и вовсе ограничены правительством США, несмотря на то, что они дебютировали около 10 лет назад.

Операции с заказчиками из Китая составили 11% от чистой выручки TSMC в размере $90,08 млрд в 2024 году, или около $9,91 млрд долларов США, что является огромной суммой. Нет данных, позволяющих оценить вклад Fab 16 в эту сумму.

Как жить дальше?

Один из способов для TSMC сохранить свою Fab 16 в Нанкине без американского оборудования — это заменить часть импортируемого из США оборудования аналогичным, произведенным в Китае. Однако неясно, возможно ли это, особенно по части литографии.
16-нм технология TSMC основана на высокоточном оборудовании для травления, осаждения, литографии, метрологии и ионной имплантации, производимом американскими и европейскими компаниями. Хотя китайские компании AMEC, Kingsemi, Naura и Piotech добились значительного прогресса в разработке оборудования для очистки, осаждения и травления, в настоящее время они вряд ли предлагают полный набор инструментов с производительностью и точностью, необходимыми TSMC для коммерческого производства микросхем 16-нм. Кроме того, нет официальных данных о китайских компаниях, которые были бы способны производить литографические системы для 16-нм техпроцесса.

Даже если китайские компании могли бы поставлять некоторые установки, замена хотя бы одного типа инструмента в рамках интегрированной технологической линии с системами автоматизации и управления производством, а также полная переквалификация рецептур и параметров, подразумевают полную переаттестацию и перенастройку всей линии. Более того, потенциальные изменения производительности и надежности могут повлиять на время цикла и финансовые показатели завода.

TSMC, вероятно, попытается найти какой-то компромисс с правительством США, который сохранил бы возможность экономически эффективного производства в Китае, но, возможно, ей придётся заменить хотя бы часть производственного оборудования на китайское, если таковое найдется. (..)
👍31